四选一选择器,输入四个,输出1个.当NM=00时选A 当NM=01时选B 当NM=10时选C 当NM=11时选D
上传时间: 2013-12-25
上传用户:woshiayin
VHDL编写的4选一数据选择器 entity mux41a is port(a,b:in std_logic; s1,s2,s3,s4:in std_logic; y: out std_logic); end entity mux41a; architecture one of mux41a is signal ab:std_logic_vector(1 downto 0);
上传时间: 2020-05-15
上传用户:cdga
译码器,编码器,数据选择器,电子开关,电源分册
上传时间: 2013-06-11
上传用户:eeworm
专辑类-器件数据手册专辑-120册-2.15G 现代集成电路实用手册-译码器-编码器-数据选择器-电子开关-电源分册-258页-5.5M.pdf
上传时间: 2013-04-24
上传用户:zxh1986123
TKS仿真器B系列快速入门
上传时间: 2013-10-31
上传用户:aix008
设计一个四路数据选择器,其功能是将四组不同的数据按要求选择一个输出.输出的那组数据有两个控制信号决定,其真值表如下: 数据选择控制端 输出的数据 Input0 Input1 output 0 0 output0 0 1 output1 1 0 output 2 1 1 output 3
上传时间: 2015-01-08
上传用户:6546544
数据结构中的B-TREE的实现
上传时间: 2014-01-07
上传用户:小儒尼尼奥
用vhdl的4x4的数据选择器,在maxplusII下编译、仿真通过。是构成大型数字电路的重要部件。适合vhdl初学者分析学习。
上传时间: 2015-04-13
上传用户:banyou
数据选择器,半加器,3-8译码器vhd源代码。是最近学校的实验内容。我要成会员,所以都发上来供大家参考。
标签: 数据选择器
上传时间: 2014-07-07
上传用户:许小华
二进制数据或者频率信号选择器,判决时钟满足低频条件
上传时间: 2015-05-26
上传用户:hzy5825468